Моделирование динамики интегральной диэлектрической проницаемости пористой low-k органосиликатной пленки при сухом травлении фоторезиста в O2-плазме

 
Код статьиS054412690002772-6-1
DOI10.31857/S054412690002772-6
Тип публикации Статья
Статус публикации Опубликовано
Авторы
Аффилиация: Московский физико-технический институт (Государственный университет)
Адрес: Российская Федерация
Аффилиация: Московский физико-технический институт (Государственный университет)
Адрес: Российская Федерация
Аффилиация: Акционерное общество “Научно-исследовательский институт молекулярной электроники”
Адрес: Российская Федерация
Аффилиация: Национальный исследовательский университет “Московский институт электронной техники”
Адрес: Российская Федерация
Название журналаМикроэлектроника
ВыпускТом 47 6
Страницы451-459
Аннотация

С помощью имитационной клеточно-автоматной модели исследовалась деградация структуры межслойного low-K диэлектрика в ходе плазмохимического травления фоторезиста. Диэлектрик представляет собой пористый материал на основе SiOCH, интегральная диэлектрическая проницаемость которого зависит от процентного содержания атомов углерода на стенках пор и в матрице диэлектрика. Длительность травления такова, что удаление углерода (и соответственно, деградация) происходит не полностью. Моделирование было выполнено для 2 млн шагов автомата, которые соответствуют 2 с реального процесса. На таком времени число метильных групп не превышает 20% от исходного на глубине 40 нм поры диэлектрика, при этом проницаемость ε возрастает с 2.5 до 2.84. Экстраполяция на больший промежуток времени (около 1 мин) показывает, что общая доля СН3-групп составит 9% от исходной по всей глубине материала, а конечное значение диэлектрической проницаемости будет равно 3.0–3.1. Результаты моделирования согласуются с экспериментальными данными, описанными в литературе.

Ключевые слова
Получено08.12.2018
Дата публикации08.12.2018
Цитировать   Скачать pdf Для скачивания PDF необходимо авторизоваться
Размещенный ниже текст является ознакомительной версией и может не соответствовать печатной.

всего просмотров: 1132

Оценка читателей: голосов 0

1. Volksen W., Miller R. D., Dubois G. Low Dielectric Constant Materials // Chem. Rev. 2010. V. 110. № 1. P. 56.

2. Валеев А.С, Красников Г.Я, Гвоздев В. А., Кузнецов П.И. Способ изготовления многоуровневой медной металлизации с ультранизким значением диэлектрической постоянной внутриуровневой изоляции. Патент РФ 2548523, Декабрь 17 (2013).

3. AtkinsP., de Paula J. Atkins Physical Chemistry, Oxford University Press, Oxford, 2010. P. 622–629.

4. MaexK., Baklanov M. R., ShamiryanD., Iacopi F., Brongersma S. H., Yanovitskaya Z. S. Low dielectric constant materials for microelectronics // J. Appl. Phys., 2003. V. 93. № 11. P. 8793.

5. Braginsky O. V., Kovalev A. S., Lopaev D. V., Malykhin E. M., Mankelevich Yu.A., Rakhimova T. V., Rakhimov A. T., Vasilieva A. N., Zyryanov S. M., Baklanov M.R. // J. Appl. Phys. 2010. V. 108. P. 073303.

6. Ванаг В. К. И сследование распределенных динамических систем методами вероятностного клеточного автомата // УФН, 1999. Т. 169. № 5. С. 481–505.

7. Матюшкин И. В., Хамухин А. В. // Известия вузов. Электроника, 2010. Т. 6. № 86.С. 394.

8. Матюшкин И. В., Коробов С. В., Вильданов Р. Р. // Труды МФТИ, 2014. Т. 6. № 1. С. 72–80.

9. DarnonM, Chevolleau T., David T., Posseme N., Ducote J., Licitra C., Vallier L., Joubert O., Torres J // J. Vac. Sci. Technol. B, 2008. V. 26.№ 6. P. 1964–1970.

10. Burkey D. D., Gleason K. K. Structure and mechanical properties of thin films deposited from 1, 3, 5-trimethyl?1, 3, 5-trivinylcyclotrisiloxane and water // J. Appl. Phys., 2003. V. 93. P. 5143.

11. Lionti K., Volksen W., Magbitang T., DarnonM., Dubois G. Toward successful integration of porous low-k materials: strategy addressing plasma damage // ECS Journal of Solid State Science and Technology, 2015. V. 4. № 1. P. 3071–3083.

12. Ross A. D. PhD dissertation at MIT // Chemical vapor deposition of organosilicone composite thin films for porous low-k dielectrics, Boston. 2005.

13. Palov A., Rakhimova T. V., Krishtab M. B., Baklanov M.R. Dependence of dielectric constant of SiOCH low-k films on porosity and pore size // J. Vac. Sci. Technol.B, 2015. V. 33. P. 020603.

14. Do D. D. Adsorption Analysis: Equilibria and Kinetics, Imperial Coll. Press, London, 1999. P. 916.

15. Shoeb J., Wang M., Kushner M. Damage by radicals and photons during plasma cleaning of porous low-k SiOCH in Ar/O2 and He/H2 plasmas // J. Vac. Sci. Technol. A, 2012. V. 30. P. 041303.

16. Галперин В. А., Данилкин Е. В., Мочалов А. И. Процессы плазменного травления в микро- и нанотехнологиях: учеб. пособие. М.: БИНОМ, 2010. С. 283.

17. Александров О. В., Дусь А. И. Физика и техника полупроводников, 2008. Т. 42. № 11. С. 1400–1406.

18. Данько В. А., Индутный И. З., Лысенко В. С., Майданчук И. Ю., Минко В. И., Назаров А. Н., Ткаченко А. С., ШепелявыйП.Е. // Физика и техника полупроводников, 2005. Т. 39. № 10. С. 1239–1245.

19. Kajihara K., Hirano M., Uramoto M., Morimoto Y., Skuja L., Hosono H. Interstitial oxygen molecules in amorphous SiO2. I. Quantitative concentration analysis by thermal desorption, infrared photoluminescence, and vacuum-ultraviolet optical absorption // J. Appl. Phys., 2005. V. 98. № 1. P. 013527.

20. Резванов А. А., Матюшкин И. В., Гущин О. П. // Электронная техника. Сер. 3. Микроэлектроника, 2016. T. 2. № 163.С. 63.

21. Rezvanov A., Matyushkin I. V., Gutshin O. P., Gornev E. S. // Proc. of SPIE, 2016. V. 10224. P. 102241X.

22. Холмуродов Х. Т., Алтайский М. В., Пузынин И. В., Дардин Т., Филатов Ф. П. Методы молекулярной динамики для моделирования физических и биологических процессов // Физика элементарных частиц и атомного ядра, 2003. Т. 34. № 2. С. 474.

23. Lide D. R. CRS Handbook of Chemistry and Physics, 87th Edition, CRC Press. 2007. P. 77.

24. Liu J., Kim W., Bao J., Shi H., Baek W., Ho P. S. Restoration and pore sealing of plasma damaged porous organosilicate low-k // J. Vac. Sci. Technol. B, 2007. V.25. № 3. P. 906.

25. Bao J., Shi H., Liu J., Huang H., Ho P. S., Goodner M. D., Moinpour M., Kloster G. M. Mechanistic study of plasma damage of low-k dielectric surfaces // J. Vac. Sci. Technol. B, 2008. V. 26. № 1. P. 219.

26. Gorman B. P., Orozco-Teran R. A., Zhang Z., Matz P. D., Mueller D. W., Reidy R. F. Rapid Repair of Plasma Ash Damage in low-k Dielectrics Using Supercritical CO2 // J. Vac. Sci. Technol. B, 2004. V. 22. № 3. P. 1210–1212.

27. Shi H. Dielectric recoveries on O2 plasma damaged organosilicate low-k dielectrics, Academia. https://www.academia.edu/18566510/Dielectric_Recoveries_on_O2_Plasma_Damaged_Organosilicate_Low-k_Dielectrics (02 November 2016).

Система Orphus

Загрузка...
Вверх