Modeling the dynamics of the integral dielectric constant of a porous low-k organosilicate film with dry etching of photoresist in O2 plasma

 
PIIS054412690002772-6-1
DOI10.31857/S054412690002772-6
Publication type Article
Status Published
Authors
Affiliation: Moscow Institute of Physics and Technology (State University)
Address: Russian Federation
Affiliation: Moscow Institute of Physics and Technology (State University)
Address: Russian Federation
Affiliation: Joint-stock company “Research Institute of Molecular Electronics”
Address: Russian Federation
Affiliation: National Research University “Moscow Institute of Electronic Engineering”
Address: Russian Federation
Journal nameMikroelektronika
EditionVolume 47 6
Pages451-459
Abstract

  

Keywords
Received08.12.2018
Publication date08.12.2018
Cite   Download pdf To download PDF you should sign in
Размещенный ниже текст является ознакомительной версией и может не соответствовать печатной

views: 1133

Readers community rating: votes 0

1. Volksen W., Miller R. D., Dubois G. Low Dielectric Constant Materials // Chem. Rev. 2010. V. 110. № 1. P. 56.

2. Valeev A.S, Krasnikov G.Ya, Gvozdev V. A., Kuznetsov P.I. Sposob izgotovleniya mnogourovnevoj mednoj metallizatsii s ul'tranizkim znacheniem diehlektricheskoj postoyannoj vnutriurovnevoj izolyatsii. Patent RF 2548523, Dekabr' 17 (2013).

3. AtkinsP., de Paula J. Atkins Physical Chemistry, Oxford University Press, Oxford, 2010. P. 622–629.

4. MaexK., Baklanov M. R., ShamiryanD., Iacopi F., Brongersma S. H., Yanovitskaya Z. S. Low dielectric constant materials for microelectronics // J. Appl. Phys., 2003. V. 93. № 11. P. 8793.

5. Braginsky O. V., Kovalev A. S., Lopaev D. V., Malykhin E. M., Mankelevich Yu.A., Rakhimova T. V., Rakhimov A. T., Vasilieva A. N., Zyryanov S. M., Baklanov M.R. // J. Appl. Phys. 2010. V. 108. P. 073303.

6. Vanag V. K. I ssledovanie raspredelennykh dinamicheskikh sistem metodami veroyatnostnogo kletochnogo avtomata // UFN, 1999. T. 169. № 5. S. 481–505.

7. Matyushkin I. V., Khamukhin A. V. // Izvestiya vuzov. Ehlektronika, 2010. T. 6. № 86.S. 394.

8. Matyushkin I. V., Korobov S. V., Vil'danov R. R. // Trudy MFTI, 2014. T. 6. № 1. S. 72–80.

9. DarnonM, Chevolleau T., David T., Posseme N., Ducote J., Licitra C., Vallier L., Joubert O., Torres J // J. Vac. Sci. Technol. B, 2008. V. 26.№ 6. P. 1964–1970.

10. Burkey D. D., Gleason K. K. Structure and mechanical properties of thin films deposited from 1, 3, 5-trimethyl?1, 3, 5-trivinylcyclotrisiloxane and water // J. Appl. Phys., 2003. V. 93. P. 5143.

11. Lionti K., Volksen W., Magbitang T., DarnonM., Dubois G. Toward successful integration of porous low-k materials: strategy addressing plasma damage // ECS Journal of Solid State Science and Technology, 2015. V. 4. № 1. P. 3071–3083.

12. Ross A. D. PhD dissertation at MIT // Chemical vapor deposition of organosilicone composite thin films for porous low-k dielectrics, Boston. 2005.

13. Palov A., Rakhimova T. V., Krishtab M. B., Baklanov M.R. Dependence of dielectric constant of SiOCH low-k films on porosity and pore size // J. Vac. Sci. Technol.B, 2015. V. 33. P. 020603.

14. Do D. D. Adsorption Analysis: Equilibria and Kinetics, Imperial Coll. Press, London, 1999. P. 916.

15. Shoeb J., Wang M., Kushner M. Damage by radicals and photons during plasma cleaning of porous low-k SiOCH in Ar/O2 and He/H2 plasmas // J. Vac. Sci. Technol. A, 2012. V. 30. P. 041303.

16. Galperin V. A., Danilkin E. V., Mochalov A. I. Protsessy plazmennogo travleniya v mikro- i nanotekhnologiyakh: ucheb. posobie. M.: BINOM, 2010. S. 283.

17. Aleksandrov O. V., Dus' A. I. Fizika i tekhnika poluprovodnikov, 2008. T. 42. № 11. S. 1400–1406.

18. Dan'ko V. A., Indutnyj I. Z., Lysenko V. S., Majdanchuk I. Yu., Minko V. I., Nazarov A. N., Tkachenko A. S., ShepelyavyjP.E. // Fizika i tekhnika poluprovodnikov, 2005. T. 39. № 10. S. 1239–1245.

19. Kajihara K., Hirano M., Uramoto M., Morimoto Y., Skuja L., Hosono H. Interstitial oxygen molecules in amorphous SiO2. I. Quantitative concentration analysis by thermal desorption, infrared photoluminescence, and vacuum-ultraviolet optical absorption // J. Appl. Phys., 2005. V. 98. № 1. P. 013527.

20. Rezvanov A. A., Matyushkin I. V., Guschin O. P. // Ehlektronnaya tekhnika. Ser. 3. Mikroehlektronika, 2016. T. 2. № 163.S. 63.

21. Rezvanov A., Matyushkin I. V., Gutshin O. P., Gornev E. S. // Proc. of SPIE, 2016. V. 10224. P. 102241X.

22. Kholmurodov Kh. T., Altajskij M. V., Puzynin I. V., Dardin T., Filatov F. P. Metody molekulyarnoj dinamiki dlya modelirovaniya fizicheskikh i biologicheskikh protsessov // Fizika ehlementarnykh chastits i atomnogo yadra, 2003. T. 34. № 2. S. 474.

23. Lide D. R. CRS Handbook of Chemistry and Physics, 87th Edition, CRC Press. 2007. P. 77.

24. Liu J., Kim W., Bao J., Shi H., Baek W., Ho P. S. Restoration and pore sealing of plasma damaged porous organosilicate low-k // J. Vac. Sci. Technol. B, 2007. V.25. № 3. P. 906.

25. Bao J., Shi H., Liu J., Huang H., Ho P. S., Goodner M. D., Moinpour M., Kloster G. M. Mechanistic study of plasma damage of low-k dielectric surfaces // J. Vac. Sci. Technol. B, 2008. V. 26. № 1. P. 219.

26. Gorman B. P., Orozco-Teran R. A., Zhang Z., Matz P. D., Mueller D. W., Reidy R. F. Rapid Repair of Plasma Ash Damage in low-k Dielectrics Using Supercritical CO2 // J. Vac. Sci. Technol. B, 2004. V. 22. № 3. P. 1210–1212.

27. Shi H. Dielectric recoveries on O2 plasma damaged organosilicate low-k dielectrics, Academia. https://www.academia.edu/18566510/Dielectric_Recoveries_on_O2_Plasma_Damaged_Organosilicate_Low-k_Dielectrics (02 November 2016).

Система Orphus

Loading...
Up