Plasma parameters and kinetics of active particles in CF4 (CHF3) + Ar mixtures of variable initial composition

 
PIIS054412690002767-0-1
DOI10.31857/S054412690002767-0
Publication type Article
Status Published
Authors
Affiliation: Federal State Budgetary Educational Institution of Higher Education “Ivanovo State University of Chemical Technology”
Address: Russian Federation
Affiliation: Korea University
Address: Korea
Affiliation: Federal State Budgetary Educational Institution of Higher Education “Ivanovo State University of Chemical Technology”
Address: Russian Federation
Journal nameMikroelektronika
EditionVolume 47 6
Pages414-423
Abstract

  

Keywords
Received08.12.2018
Publication date08.12.2018
Cite   Download pdf To download PDF you should sign in
Размещенный ниже текст является ознакомительной версией и может не соответствовать печатной

views: 1561

Readers community rating: votes 0

1. Wolf S., Tauber R. N.. Silicon Processing for the VLSI Era. V. 1. Process Technology. Lattice Press, New York, 2000. 416 p.

2. Rossnagel S.M., Cuomo J. J., Westwood W. D. (Eds.). Handbook of plasma processing technology. NoyesPublications, ParkRidge, 1990. 338 p.

3. Roosmalen A.J., Baggerman J.A.G., Brader S.J.H. Dry etching for VLSI. Plenum Press, New-York, 1991. 490 r.

4. Kimura T., Ohe K. Model and probe measurements of inductively coupled CF4 discharges // J. Appl. Phys. 2002. V. 92. P. 1780Ts1787.

5. Kimura T., Ohe K.. Probe measurements and global model of inductively coupled Ar/CF4 discharges // Plasma Sources Sci. Technol. 1999. V. 8. P. 553Ts560.

6. Standaert T.E.F. M., Hedlund C., Joseph E. A., Oehrlein G. S. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide // J. Vac. Sci. Technol. A. 2004. V. 22. P. 53Ts60.

7. Lee H.K., Chung K. S., Yu J. S. Selective Etching of Thick Si3N4, SiO2 and Si by Using CF4/O2 and C2F6 Gases with or without O2 or Ar Addition // J. Korean Phys. Soc. 54 (2009) 1816Ts1824.

8. Lieberman M.A., Lichtenberg A. J.. Principles of plasma discharges and materials processing. John Wiley & Sons Inc., New York, 1994. 757 p.

9. Yeom G.Y., Kushner M. J.. Si/SiO2 etch properties using CF4 and CHF3 in radio frequency cylindrical magnetron discharges // Appl. Phys. Lett. 1990. V. 56. P. 857Ts859.

10. Rossnagel S.M., Cuomo J. J., Westwood W. D. (Eds.). Handbook of plasma processing technology. Noyes Publications, Park Ridge, 1990. 338 p.

11. Gaboriau F., Cartry G., Peignon M-C., Cardinaud Ch. Selective and deep plasma etching of SiO2: Comparison between different fluorocarbon gases (CF4 , C2F6, CHF3) mixed with CH4 or H2 and influence of the residence time // J. Vac. Sci. Technol. B. 2002. V. 20. P. 1514Ts1521.

12. Ho P., Johannes J. E., Buss R. J. Modeling the plasma chemistry of C2F6 and CHF3 etching of silicon dioxide, with comparisons to etch rate and diagnostic data // J. Vac. Sci. Technol. A. 2001. V. 19. P. 2344Ts2367.

13. Bose D., Rao M. V. V. S., Govindan T. R., Meyyappan M. Uncertainty and sensitivity analysis of gas-phase chemistry in a CHF3 plasma // Plasma Sources Sci. Technol. 2003. V. 12. P. 225Ts234.

14. Proshina O., Rakhimova T. V., Zotovich A., Lopaev D. V., Zyryanov S. M., Rakhimov A. T. Multifold study of volume plasma chemistry in Ar/CF4 and Ar/CHF3 CCP discharges // Plasma Sources Sci. Technol. 2017, at press: https://doi.org/10.1088/1361-6595/aa72c9.

15. Chun I., Efremov A., Yeom G. Y., Kwon K.-H. A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications // Thin Solid Films. 2015. V. 579. P. 136Ts148.

16. Son J., Efremov A., Yun S. J., Yeom G. Y., Kwon K.-H. Etching characteristics and mechanism of SiNx films for Nano-Devices in CH2F2/O2/Ar inductively coupled plasma:Effect of O2 mixing ratio // J. Nanosci. Nanotech. 2014. V. 14. P. 9534Ts9540.

17. Johnson E.O., Malter L. A floating double probe method for measurements in gas discharges // Phys. Rev. 1950. V. 80. P. 58Ts70.

18. Sugavara M. Plasma etching: Fundamentals and applications. Oxford University Press, New York, 1998. 469 p.

19. Kwon K.-H., Efremov A., Kim M., Min N. K., Jeong J., Kim K. A model-based analysis of plasma parameters and composition in HBr/X (X=Ar, He, N2) inductively coupled plasmas // J. Electrochem. Soc. 2010. V. 157. P. H574TsH579.

20. Efremov A., Min N. K., Choi B. G., Baek K. H., Kwon K.-H. Model-based analysis of plasma parameters and active species kinetics in Cl2/X (X=Ar, He, N2) inductively coupled plasmas // J. Electrochem. Soc. 2008. V. 155. P. D777TsD782.

21. Kokkoris G., Goodyear A., Cooke M., Gogolides E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics //J. Phys. D: Appl. Phys. 2008. V. 41. P. 195211.

22. NIST Chemical Kinetics Database. https://kinetics.nist.gov/kinetics/welcome.jsp

23. Efremov A.M., Kim D.-P., Kim C.-I. Effect of gas mixing ratio on gas-phase composition and etch rate in an inductively coupled CF4/Ar plasma // Vacuum 2004. V. 75. P. 133Ts142.

24. Lele C., Liang Z., Linda X., Dongxia L., Hui C., Tod P. Role of CF2 in the etching of SiO2, Si3N4 and Si in fluorocarbon plasma // J. Semicond. 2009. V. 30. P. 033005-1.

25. Kay E., Coburn J., Dilks A. Plasma chemistry of fluorocarbons as related to plasma etching and plasma polymerization. In: Veprek S., Venugopalan M. (eds) Plasma Chemistry III. Topics in Current Chemistry. V. 94. Springer, Berlin, Heidelberg, 1980.

26. Kay E., Dilks A. Plasma polymerization of fluorocarbons in rfcapacitively coupled diode system // J. Vac. Sci. Tech. 1981. V. 18. P. 1Ts11.

27. Stoffels W. W., Stoffels E., Tachibana K. Polymerization of fluorocarbons in reactive ion etching plasmas // J. Vac. Sci. Tech. A. 1998. V. 16. P. 87Ts95.

28. Gray D.C., Tepermeister I., Sawin H. H. Phenomenological modeling of ion enhanced surface kinetics in fluorine-based plasma etching // J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243Ts1257.

29. Efremov A.M., Kim D. P., Kim C.-I. Simple model for ion-assisted etching using Cl2/Ar inductively coupled plasma: effect of gas mixing ratio // IEEE Trans. Plasma Sci. 2004. V. 32. P. 1344Ts1351.

30. Jansen H., Gardeniers H., de Boer M., Elwenspoek M., Fluitman J. A survey on the reactive ion etchingof silicon in microtechnology // J. Micromech. Microeng. 1996. V. 6. P. 14Ts28.

Система Orphus

Loading...
Up