Модель процесса химико-механического полирования медной металлизации, основанная на формировании пассивирующего слоя

 
Код статьиS054412690001739-9-1
DOI10.31857/S054412690001739-9
Тип публикации Статья
Статус публикации Опубликовано
Авторы
Аффилиация: Физико-технологический институт Российской АН
Адрес: 117218 Москва, Нахимовский пр. 36, корп. 1
Аффилиация: Физико-технологический институт Российской АН
Адрес: Российская Федерация
Название журналаМикроэлектроника
ВыпускТом 47 5
Страницы64-73
Аннотация

Развита новая модель взаимодействия активной суспензии с поверхностью меди в ходе процесса химико-механического полирования (СМР) медной металлизации. Впервые введен и количественно рассмотрен механизм образования и роста пассивирующего слоя, проанализировано его влияние на скорость полирования. Исследованы введенные в модели основные стадии химического воздействия суспензии: диффузия ионов Cu+ и туннелирование электронов проводимости меди через пассивирующий слой к его границе с суспензией, а также химические реакции в суспензии у поверхности пассивирующего слоя, приводящие, с одной стороны, к росту его толщины, а с другой – к ее уменьшению вследствие образования растворимых соединений меди и их удалению из зоны реакции. Результаты использованы для описания СМР поверхности медной металлизации в суспензии (K3Fe(CN)6 + NH4OH). Получена замкнутая система кинетиче ских уравнений СМР, включающая описание изменения со временем толщины пассивирующего слоя; найдены и проанализированы ее решения в стационарном режиме в практически важных случаях, когда преобладает либо диффузия ионов Cu+ в пассивирующем слое, либо их электромиграция. Получены оценки скорости полирования и предельных толщин пассивирующего слоя, согласующиеся с экспериментальными данными.

 
Ключевые слова
Дата публикации28.10.2018
Кол-во символов1273
Цитировать   Скачать pdf Для скачивания PDF необходимо авторизоваться
Размещенный ниже текст является ознакомительной версией и может не соответствовать печатной.

всего просмотров: 974

Оценка читателей: голосов 0

1. Babu S. Advances in Chemical mechanical Planarization (CmP). Woodhead Publishing, 2016. 536 с.

2. Zhao D., Lu X. Chemical mechanical polishing: Theory and experiment // Friction. 2013, V. 1, Issue 4. Р. 306– 326

3. Гольдштейн Р. В., Махвиладзе Т. М., Сарычев М. Е. Особенности кинетики процесса СмР периодических структур при нелинейной зависимости скорости полирования от давления // микроэлектроника. 2016. Т. 45. № 4. С. 289–297.

4. Lee H., Zhuang Y., Borucki L., Joh S., O’Moore F., Philipossian A. Investigation of Pad staining and Its Effect on Removal Rate in Copper Chemical mechanical Planarization // Thin solid Films, 2010, 519(1). Р. 259–264.

5. Krishnan M., Nalaskowsk J. W., Cook L. M. Chemical mechanical Planarization: slurry Chemistry, materials, and mechanisms // Chem. Rev., 2010. V. 110. Р. 178–204.

6. Balakumar S., Haque T., Kumar S.A., Rahman M., Kumar R. Wear phenomena in abrasive-free copper CmP process // J. Electrochem. soc. 2005. V. 152. № 11. P. g867–g874.

7. Steigerwald J. M., Murarka S. P., Gutmann R. J. Chemical mechanical planarization of microelectronic materials. N.Y.:WILEY-VCh Verlag gmbh & Co. KgaA. 2004. 323 p.

8. Romagna F., Febvre D., Fayolle M. Copper CmP evaluation: slurry chemical effect on planarization // Conf. Proc. ULsI XII. mater. Res. soc., 1997. P. 547– 553.

9. Гольдштейн Р. В., Махвиладзе Т. М., Сарычев М. Е. A new model for the copper CmP kinetics // Proc. sPIE. 2008. V. 7025. P. 70251Q (10 с.)

10. Jiao Y., Liao X., Wu C., Theng S., Zhuang Y., Sampurno Y., Goldstein M., Philipossian A .Tribological, Thermal and Kinetic Attributes of 300 vs. 450 mm Chemical mechanical Planarization Processes // J. lectrochem. soc. 2012. 159(3). h255–h259.

11. Sukharev V. Fluctuation model of chemical mechanical planarization // J. Electrochem. soc. 2001. V. 148. № 3. P. g172–g177.

12. Sundararajan S., Thakurta D. G., Schwendeman D. W. et al. Two-dimensional wafer-scale chemical mechanical planarization models based on lubrication theory and mass transport // J. Elecrochem. soc. 1999. V. 146. № 2. P. 761–766.

13. Kaufman F. B., Thomson D. B., Broadie R. E., Jaso M. A., Guthrie W. L., Pearsons D. J., Small M. B. Chemical-mechanical Polishing for Fabricating Patterned W metal Features as Chip Interconnects // J. Electrochem. soc. 1991. V. 138. № 11. P. 3460– 3465.

14. Steigerwald J. M., Murarka S. P., Ho J. et al. mechanisms of copper removal during chemical mechanical polishing // J. Vac. sci. Technol. 1995. V. B13. № 6. P. 2215–2220.

15. Ландау Л. Д., Лифшиц Е. М. квантовая механика. м.: наука. 1976, 752 с.

16. Эммануэль Н. М., Кнорре Д. Г. курс химической кинетики. м.: Высшая школа,1984. 463 с.

17. Ландау Л. Д., Лифшиц Е. М. Статистическая физика. Ч. 1. м.: наука, 1976. 584 с.

18. Luo Q., Fury M. A., Babu S. V. Chemical mechanical polishing of copper: a comparative analisis // Proc. CmP-mIC Conference. 1997. P. 83–90.

19. Валиев К. А., Махвиладзе Т. М., Сарычев М. Е. механизм плазмохимическо-го травления полимеров // докл. Ан СССР. 1985. Т. 283. № 2. С. 366– 369.

20. Валиев К. А., Махвиладзе Т. М., Сарычев М. Е. поверхностные эффекты при фототравлении полимрных пленок // микроэлектроника. 1985. Т. 14. № 4. С. 291–300.

Система Orphus

Загрузка...
Вверх